首页 - 股票 - 股市直击 - 正文

芯源微2023年年度董事会经营评述

(原标题:芯源微2023年年度董事会经营评述)

芯源微2023年年度董事会经营评述内容如下:

一、经营情况讨论与分析

  公司始终秉承“为客户创造价值”的企业使命,坚持“客户第一、奋斗为本、诚信合作、专业精品”的企业精神,积极发挥自身在产品研发、采购制造、质量管理、市场销售以及客户服务等领域的经营优势,不断加大产品技术创新和品牌推广,深入推进精益化管理与内部风险控制,持续提升公司的品牌形象及核心竞争力,实现公司主营业务稳健发展。

  (一)报告期内主要经营情况

  报告期内,公司持续加大研发投入,不断提升产品性能及服务水平,产品综合竞争力持续增强,综合毛利率水平稳健增长,盈利能力同步提升。报告期内,公司实现营业收入17.17亿元,同比增长23.98%;归属于上市公司股东的净利润2.51亿元,同比增长25.21%;归属于上市公司股东的扣除非经常性损益的净利润1.87亿元,同比增长36.37%,经营业绩保持良好的增长态势。截至报告期末,公司资产总额43.02亿元,归属于上市公司股东的净资产23.80亿元,公司资产质量良好,财务状况稳健。

  2023年2月,国家发展改革委等5部门联合印发了《关于印发第29批新认定及全部国家企业技术中心名单的通知》(发改高技〔2023〕139号),沈阳芯源微电子设备股份有限公司技术中心被认定为“国家企业技术中心”,彰显了公司强劲的技术创新和自主研发能力。

  2023年4月,全国总工会在北京召开“五一”国际劳动节暨全国五一劳动奖和全国工人先锋号表彰大会,沈阳芯源微电子设备股份有限公司荣获“全国五一劳动奖状”,表彰了公司在中国特色社会主义建设中做出的突出贡献。

  2024年3月,国家工业和信息化部公布了第八批制造业单项冠军企业名单,沈阳芯源微电子设备股份有限公司凭借涂胶显影机产品获评“国家级制造业单项冠军企业”。

  报告期内,公司前道单片式清洗机研发与产业化项目荣获辽宁省人民政府“辽宁省科技进步一等奖”及中国集成电路创新联盟—第六届“IC创新奖”;公司涂胶显影产品被辽宁省工业和信息化厅认定为“辽宁省制造业单项冠军产品”。此外,报告期内公司还荣获“沈阳市高新技术企业高成长性企业奖”、“上交所信息披露A级评价”等其他多项殊荣。

  近年来,公司锚定国家重大战略需求,紧跟全球半导体装备技术发展前沿,围绕国产自主可控核心战略目标,持续加大研发投入,稳步推进技术研发及产品迭代,取得了一批优秀的研发成果。截至报告期末,公司共获得专利授权286项,其中发明专利181项(其中中国大陆地区发明专利161项,中国台湾地区发明专利18项,美国发明专利2项),实用新型专利69项,外观设计专利36项;拥有软件著作权78项。

  (二)报告期内重点工作开展情况

  1、新签订单情况

  受全球经济疲软、国际贸易不确定性增加、终端市场需求不振等多重因素影响,2023年国内前道晶圆厂资本开支进入过渡性放缓阶段,后道先进封装领域行业景气度周期下行。从半导体行业历史发展规律来看,半导体行业兼具周期性和成长性,阶段性放缓不会改变长期向好的趋势。SEMI在2024年3月发布的《300mm晶圆厂2027年展望报告》中指出,未来几年下游市场对电子产品的成长需求以及人工智能创新带来的应用浪潮将持续引领晶圆厂进行产能扩张,全球前道300mm晶圆厂设备支出预估将持续增长,2025年预计将增长20%至1165亿美元,2027年将达到创纪录的1370亿美元。

  报告期内,公司继续加大研发投入,产品竞争力得到进一步增强,同时公司积极开拓新客户,取得了良好进展。在新签订单方面,公司前道涂胶显影设备签单同比保持了良好的增长速度,国内市场份额进一步提升,所处细分赛道稀缺性得到市场进一步认可;前道清洗设备签单较为稳健,其中物理清洗机继续保持行业龙头地位,化学清洗机新品在重点客户实现有序突破,有望成为公司新的业绩增长点;后道先进封装及小尺寸签单则受下游市场景气度影响阶段性承压。2023年,公司全年新签订单与2022年基本持平,截至报告期末,公司在手订单约为22亿元(含税),可以对2024年业绩起到较好的支撑。

  2、产品销售情况

  (1)前道涂胶显影设备

  全球前道涂胶显影设备市场长期被日本厂商高度垄断,目前国产化率仍然较低,作为半导体产线上唯一与光刻机联机作业的核心工艺设备,近年来,前道涂胶显影设备的国产化替代得到了下游客户的高度重视和大力支持。公司经过长期的研发、多轮次验证及量产应用,目前已成功推出了包括offine、I-ine、KrF及ArF浸没式在内的多种型号产品,成功在下游客户端抢占一席之地。报告期内,在国内前道晶圆厂扩产节奏有所放缓的行业背景下,公司前道涂胶显影设备新签订单依然保持了良好的增长速度,部分型号设备整体工艺水平已能够对标国际主流机台,客户认可度不断提升,批量销售规模持续增长。

  报告期内,公司ArF浸没式高产能涂胶显影设备在成熟化、标准化等方面也取得良好进展,截至报告期末,公司浸没式机台已获得国内5家重要客户订单。此外,公司在高端NTD负显影、SOC涂布等新机台销售方面也取得了良好进展,进一步拓展了公司涂胶显影设备的工艺应用场景和市场空间。

  (2)前道清洗设备

  公司前道物理清洗机自2018年发布以来,凭借其高产能、高颗粒去除能力、高性价比等优势受到下游客户的广泛认可,产品迅速打破国外垄断并确立了市场领先优势,目前已广泛应用于中芯国际、上海华力、青岛芯恩、广州粤芯、上海积塔、厦门士兰等一线大厂,已成为国内逻辑、功率器件客户主力量产机型。报告期内,公司新一代高产能物理清洗机已发往国内重要存储客户开展验证,机台应用新一代高产能架构,可满足存储客户对产能的更高指标要求,未来有望在存储领域打开新的增量市场空间。

  此外,公司战略性新产品前道化学清洗机的研发与产业化也取得实质性突破,目前已获得国内重要客户的验证性订单,未来有望成长为公司新的业绩增长点。该机台的推出,标志着公司从前道物理清洗领域成功跨入到技术含量更高、市场空间更大的前道化学清洗领域,将公司前道产品(涂胶显影+清洗)的国内市场空间由原来的百亿元级别大幅提升至两百亿元级别,进一步完善了公司在前道领域的战略布局。

  (3)后道先进封装设备

  公司后道先进封装用涂胶显影设备、单片式湿法设备已连续多年作为主流机型批量应用于台积电、盛合晶微、长电科技(600584)、华天科技(002185)、通富微电(002156)、珠海天成等海内外一线大厂,已经成为众多知名客户的首选品牌,机台部分技术指标已达到国际领先水平,具有较强的全球竞争力,获得多家海外客户的持续认可。报告期内,公司向多家海外客户实现产品销售。

  此外,为积极响应国家支持Chipet产业大发展的号召,公司基于在先进封装领域多年的技术积累和客户储备,积极围绕头部客户需求开展2.5D/3D先进封装相关新品的研发及国产化替代,已快速切入到新兴的Chipet大市场,目前已成功推出了包括临时键合、解键合、Frame清洗等在内的多款新产品,并实现了良好的签单表现。未来,公司将继续围绕头部客户需求,持续开发其他Chipet新品类,不断丰富公司在先进封装领域的产品布局。

  (4)化合物等小尺寸设备

  公司化合物等小尺寸设备近年来已作为主流机型批量应用于三安集成、华灿光电(300323)、乾照光电(300102)、北京赛微、江西兆驰等国内一线大厂,已经成为客户端的主力量产设备。报告期内,受下游市场景气度影响,该细分领域销售额有所下滑。

  3、新产品开发和商业化情况

  (1)前道化学清洗设备

  公司战略性新产品前道化学清洗机KS-CM300/200于2024年3月正式公开发布,机台具有高工艺覆盖性、高稳定性、高洁净度、高产能等多项核心优势,并于2023年第四季度获得了国内重要客户的验证性订单。目前,公司已与国内其他多家重要客户达成合作意向,部分客户已进入到配置确认和商务流程阶段。作为公司的战略性新产品,后续公司将继续加大研发和推广力度,快速推进化学清洗机的商业化进程。

  前道化学清洗机KS-CM300/200

  ①高工艺覆盖性:设备适用于薄膜前后清洗、干法蚀刻后清洗、离子注入灰化后清洗、CMP后清洗等多种清洗工艺,能够适配高温SPM工艺,整体工艺覆盖率达到80%以上。

  ②高稳定性:机台UPTime达到高指标要求,刻蚀一致性随机抽取100组稳定在2%以内,可以满足客户的高稳定性需求。

  ③高洁净度:机台借鉴了公司前道涂胶显影和前道物理清洗的成熟技术,通过气体流场仿真优化,确保机台内部微环境均匀稳定,同时搭载了独立研发的新一代高清洗效率低损伤射流喷嘴,通过26nmpartice测试,达到先进制程所需工艺水平。

  ④高产能:通过移植前道涂胶显影设备多层堆叠的技术优势,同时搭载公司自研的高速机械手,机台16腔高产能架构可以实现清洗效率的大幅提升,整体工艺产能够对标国际主流机台,达到国际先进水平。

  相比于前道涂胶显影设备,前道清洗类设备标准化程度相对较高,验证周期较短,通过验证后有望快速提升市场份额。公司于2018年发布前道物理清洗设备后,在两年的时间内迅速成为了客户端主力量产机型。公司将借助前道物理清洗设备的成功经验,力争在前道化学清洗赛道实现跨越式发展,未来与前道涂胶显影设备一道形成两大主打优势产品,为公司长期发展提供稳定的业绩增长点。

  (2)临时键合、解键合设备

  在Chipet技术中,需要将器件晶圆进行减薄处理,由于超薄晶圆具有高柔性、高脆性、易翘曲、易起伏等特点,在减薄过程中极易产生碎裂、变形等缺陷,良品率极低。为了降低减薄工艺中的碎片率,提高芯片制造的良率、加工精度和封装精度,通常采用临时键合及解键合技术,在背面减薄前,采用临时键合的方式将晶圆转移到载片上为其提供强度支撑,完成背面减薄及其他背面工艺后进行解键合。

  临时键合机KS-C300-TB解键合机KS-S300-DBL

  目前,国内高精度临时键合设备市场长期被海外厂商高度垄断,针对Chipet技术解决方案,公司提前布局自主研发的全自动临时键合及解键合机,可应用于InFO、CoWoS、HBM等2.5D、3D技术路线产品,兼容国内外主流胶材工艺,能够适配60微米及以上超大膜厚涂胶需求,可实现高对准精度、高真空度环境、高温高压力键合工艺,键合后产品TTV及翘曲度表现优异,对应开发的机械、激光解键合技术,可覆盖不同客户产品及工艺需求,为客户提供稳定高效的临时键合、解键合全流程解决方案。

  2021年,公司获得国内重要存储客户支持,开始系统性研发临时键合、解键合设备,目前公司临时键合机、解键合机整体技术已达到国际先进水平,陆续获得国内多家头部客户订单,进入小批量销售阶段。此外,公司在2.5D/3D先进封装领域布局的新产品Frame清洗设备,目前也已进入小批量销售阶段。

  (3)全自动SiC划片裂片一体机

  2024年3月,公司发布了全自动SiC划裂片一体机KS-S200-2H1L,该款机台由公司日本子公司与合作伙伴联合研发,借助独有的SnB划裂片技术,可以有效解决传统砂轮式切割方法所面临的崩边大、切割损失多、生产效率低、切割水处理等问题。机台对SiC晶圆切割速度约为100mm/s,相比传统划片机切割速度大幅提升,产能效率得到大幅提升,同时拥有零切口损失、断面平整、崩边裂痕少等优势,可助力客户在同等尺寸晶圆下切出更多晶粒,同时有效提升客户产品良率。

  全自动SiC划裂片一体机KS-S200-2H1L

  该产品的推出将进一步丰富公司在小尺寸领域的产品布局,助力公司从现有的涂胶显影、单片湿法等领域拓展至划片领域,为客户提供更丰富优质的产品选择,将进一步提升公司在小尺寸领域的综合竞争优势。

  4、人才团队建设情况

  人才是企业发展的基石,公司高度重视优秀人才的引进、培养和研发团队的建设。报告期内,公司持续引进外部优秀人才,公司员工人数由880人增加至1118人。公司拥有高水平的研发团队,研发人员中硕士研究生及以上学历占比超过50%。团队激励方面,公司在2020、2021年两期限制性股票激励计划的基础上,于2023年8月发布了新一期限制性股票激励计划,以50元/股的授予价格向160名激励对象授予126万股限制性股票,授予对象以核心技术骨干为主,同时明确了公司和员工业绩考核指标,有助于调动核心员工积极性,有利于公司长期稳定可持续发展。

  5、厂区及子公司布局情况

  公司上海临港(600848)厂区于2024年3月正式投产,公司目前已拥有沈阳飞云路、沈阳彩云路、上海临港三大生产基地,产能储备充沛。此外,为更好地开拓外部资源,公司分别在日本、广州、沈阳设立了三家子公司,其中,日本子公司成立于2022年8月,主要开展境外核心供方资源开拓、高端人才引进以及新品开发等;广州子公司成立于2023年9月,主要开展光刻胶泵等核心关键零部件研发及产业化;沈阳子公司成立于2024年1月,未来将主要开展2.5D、3D等高端封装新品的研发及产业化。

  

  二、报告期内公司所从事的主要业务、经营模式、行业情况及研发情况说明

  (一)主要业务、主要产品或服务情况

  公司成立于2002年,主要从事半导体专用设备的研发、生产和销售,产品主要包括光刻工序涂胶显影设备、单片式湿法设备。经过20余年的技术发展,公司在巩固传统优势领域的基础上不断丰富产品布局,目前已形成了前道涂胶显影设备、前道清洗设备、后道先进封装设备、化合物等小尺寸设备四大业务板块,产品已完整覆盖前道晶圆加工、后道先进封装、化合物半导体等多个领域。

  1、前道涂胶显影设备

  作为公司标杆产品,涂胶显影设备是集成电路制造过程中不可或缺的关键处理设备,主要与光刻机(芯片生产线上最庞大、最精密复杂、难度最大、价格最昂贵的设备)配合进行作业,通过机械手使晶圆在各系统间传输和处理,从而完成晶圆的光刻胶涂覆、固化、显影、坚膜等工艺过程。

  前道涂胶显影设备同时涵盖了工程力学、材料学、光学、化学、微电子学及计算机软件等诸多领域,设备结构复杂,所需零部件数万种;机台集成度高,涉及上百个功能单元,多腔体一致性要求严苛;工艺流程路径长,每片晶圆可运行上百米,调度逻辑高度智能化;机台涉及光阻管路多达十余只,还存在膜厚层差、显影缺陷多变、环境颗粒控制、在线检测等诸多难题,机台技术壁垒极高。前道涂胶显影设备与客户具体制造工艺、光刻胶材料等结合度较高,具有较强的非标属性。此外,前道涂胶显影设备需与客户端光刻机联机量产验证,验证周期较长,验证成本较高,因此验证通过后客户粘性极强。

  全球前道涂胶显影设备市场长期被日本厂商高度垄断,是国内目前少数几个国产化率仍处于较低水平的“卡脖子”领域。公司作为目前国内唯一可以提供量产型前道涂胶显影机的厂商,经过长期的技术积累和在客户端的验证及量产应用,目前已完成在前道晶圆加工环节28nm及以上工艺节点的全覆盖,并可持续向更高工艺等级迭代,截至报告期末,28nm以下工艺技术正在验证中。

  2、前道清洗设备

  (1)前道化学清洗设备

  公司战略性新产品前道化学清洗机已于2024年3月正式公开发布,机台具有高工艺覆盖性、高稳定性、高洁净度、高产能等多项核心优势,适用于沉积前清洗、蚀刻后清洗、离子注入后清洗、CMP后清洗等多种前段工艺和后段工艺清洗进程,可适配高温SPM工艺,整体工艺覆盖率达80%以上;机台搭载独立开发的新一代高清洗效率低损伤射流喷嘴,洁净度已达到先进制程所需水平。

  2024年,公司将加快对前道化学清洗产品的客户端推广及验证力度,做好潜在客户签单及生产交付工作,同时将继续加大研发投入,不断开发并覆盖其他工艺空白领域,持续优化和提升产品工艺能力,为客户提供更先进、更具性价比的化学清洗产品。

  (2)前道物理清洗设备

  前道物理清洗机适用于晶圆制造前段工艺(FEOL)与后段工艺(BEOL)进程中薄膜沉积、光刻、刻蚀等多道工艺前后晶圆表面颗粒的清洗去除,设备配置低损伤雾化清洗喷嘴与低损伤清洗毛刷,可广泛应用于国内28nm及以上工艺制程的晶圆制造领域。

  3、后道先进封装设备

  (1)涂胶显影设备、单片式湿法设备

  公司后道涂胶显影设备主要应用于先进封装技术BGA、Fip-Chip、WLCSP、CSP、2.5D、3D等涂胶显影工艺,可实现高黏度PR、PI涂敷及多种显影工艺。公司单片湿法设备包括清洗机、去胶机、刻蚀机等湿法类设备,可广泛应用于来料清洗、TSV深孔清洗、Fux清洗等清洗、去胶及ift-off剥离工艺及多种介质层湿法刻蚀工艺。

  (2)临时键合、解键合设备

  公司提前布局自主研发的全自动临时键合及解键合机,主要针对Chipet技术解决方案,可应用于InFO、CoWoS、HBM等2.5D、3D技术路线产品,兼容国内外主流胶材工艺,能够适配60μm及以上超大膜厚涂胶需求,可实现高对准精度、高真空度环境、高温高压力键合工艺,键合后产品TTV及翘曲度表现优异,对应开发的机械、激光解键合技术,可覆盖不同客户产品及工艺需求。

  4、化合物等小尺寸设备

  公司生产的化合物等小尺寸设备主要应用于4-8寸晶圆工艺,产品包括涂胶显影机、清洗机、去胶机等湿法类设备及SiC划裂片设备,可广泛应用于射频器件、功率器件、光通信、MEMS、LED工艺生产环节。

  (二)主要经营模式

  1、盈利模式

  公司主要从事半导体专用设备的研发、生产和销售业务,通过向下游客户销售光刻工序涂胶显影设备和单片式湿法设备等产品实现收入和利润。报告期内,公司主营业务收入来源于半导体专用设备产品的销售,其他业务收入来源于设备相关配件销售及维修服务等。

  2、采购模式

  公司主要根据生产订单物料、研发物料、售后服务物料的需求计划和安全库存的需要等制定采购计划,采取与供应商单签合同或签订年度框架合同等方式开展采购。为保证公司产品的质量和性能,公司对供应商进行统一管理,主要考察供应商的资质实力、产品情况、售后服务等方面,经外部供方调查、样品试用或非标准部件定制加工验证通过后确定合格供应商名录,并持续更新及跟踪评级。

  3、研发模式

  公司以自主研发为主,充分结合产品技术国际发展趋势及客户实际需求,以核心基础技术研究、核心单元零部件研究、整机研发应用并重为原则,确定公司研发方向和研发项目,建立了机械、电气、软件等多模块协同配合,公司级与部门级研发项目相结合的研发创新机制。

  4、生产模式

  公司采用在手订单生产为主、潜在订单预投生产为辅的生产模式,根据已签单客户以及有明确需求且供期紧张的潜在客户的具体需求进行产品定制化设计及生产制造,以满足不同客户对产品不同的技术指标和交期的需求,同时也能合理管控公司在产品的规模和呆滞风险。

  5、销售模式

  公司主要采取“直销为主、代销为辅”的销售模式。直销模式下,公司通过商务谈判、招投标等方式获取订单;委托代理商销售模式下,公司与特定地区代理商签订产品销售区域代理协议,由其负责在特定地区代理销售公司相关产品,公司向其支付一定比例的代理佣金。公司配备了专业的销售与服务团队,主要负责售前客户需求分析、商务谈判或招投标环节及销售设备的安装、调试、保修、维修、技术咨询及客户端人员培训等售后工作。公司始终秉承“客户第一,为客户创造价值”的营销理念,致力于为客户提供“专业精品”的产品及服务。

  (三)所处行业情况

  1.行业的发展阶段、基本特点、主要技术门槛

  半导体被称为制造业皇冠上的明珠,半导体产业是信息技术产业的核心,是支撑经济社会发展和保障国家安全的战略性、基础性和先导性产业,其技术水平和发展规模已成为衡量一个国家产业竞争力和综合国力的重要标志之一。作为“工业粮食”,半导体芯片被广泛地应用于计算机、消费类电子、网络通信、汽车电子、物联网等产业,是绝大多数电子设备的核心组成部分。根据国际货币基金组织测算,每1美元半导体芯片的产值可带动相关电子信息产业10美元产值,并带来100美元的GDP,这种100倍价值链的放大效应奠定了芯片行业在国民经济中的重要地位。

  为推动半导体产业发展,增强产业创新能力和国际竞争力,带动传统产业改造和产品升级换代,进一步促进国民经济持续、快速、健康发展,我国先后出台《科技部重点支持集成电路重点专项》、《集成电路产业“十三五”发展规划》、《国务院关于印发新时期促进集成电路产业和软件产业高质量发展若干政策的通知》、《“十四五”国家信息化规划》等鼓励和支持半导体设备产业发展的政策,为我国半导体设备行业发展营造了良好的政策环境。2022年11月,辽宁省政府发布了《辽宁省培育壮大集成电路装备产业集群若干措施》,在企业销售规模奖励、投资建设项目、新产品销售奖励、研发费用补助、人才引进激励等多个维度大力支持辽宁省集成电路装备产业发展。

  从全球半导体设备行业来看,随着下游电子、汽车、通信等行业需求的稳步增长,以及人工智能、云计算及大数据等新兴领域的快速发展,集成电路产业面临着新型芯片带来的产能扩张需求,为半导体设备行业带来广阔的市场空间。SEMI于2024年3月发布的《300mm晶圆厂2027年展望报告》指出,全球前道300mm晶圆厂设备支出预估在2025年首次突破1000亿美元,到2027年将达到1370亿美元的历史新高,SEMI表示,下游市场对电子产品的成长需求以及人工智能创新带来的应用浪潮将持续引领晶圆厂进行产能扩张,预估未来几年内前道300mm晶圆厂设备支出将呈现大幅成长。

  从我国半导体设备行业来看,集成电路产业国际产能不断向我国大陆地区转移,据SEMI统计,2016-2021年,我国大陆的半导体设备市场规模从64.60亿美元增长至296亿美元,近五年来年均复合增长率达到35.58%,远高于全球市场增速。近两年国内半导体行业受国际形势等多重因素交叠影响,国内晶圆厂扩产进入过渡性放缓阶段,但中长期发展趋势仍然良好。SEMI发布的《300mm晶圆2027年展望报告》显示,在政府激励措施和芯片国产化政策的推动下,中国未来四年将保持每年300亿美元以上的投资规模,继续引领全球晶圆厂设备支出。

  2.公司所处的行业地位分析及其变化情况

  半导体设备行业具有较高的技术壁垒、市场壁垒和客户认知壁垒,以美国应用材料、荷兰阿斯麦、美国泛林集团、日本东京电子、美国科天等为代表的国际知名企业占据了全球半导体设备市场的主要份额。

  公司是国内涂胶显影、单片式湿法设备龙头企业,近年来持续加大研发投入,推出了前道单片式化学清洗设备、临时键合解键合设备、SiC划裂片设备等多款新产品,目前已形成了前道涂胶显影设备、前道清洗设备、后道先进封装设备、化合物等小尺寸设备四大业务板块,产品已完整覆盖前道晶圆加工、后道先进封装、化合物半导体等多个领域。

  3.报告期内新技术、新产业(300832)、新业态、新模式的发展情况和未来发展趋势

  (1)光刻机向着更高产能、更高精度方向持续进步

  近年来,全球光刻机龙头厂商ASML在光源光罩系统、软件及算法等多方面陆续取得技术突破与进步,主要产品DUV光刻机在产能、精度指标上持续提升。在产能效率方面,其KrF系列目前主流光刻机XT860产能约240-260WPH,新款NXT870目前已实现量产产能330WPH,下一代产品NXT870B产能将进一步大幅提升,对与之配套工作的涂胶显影设备产能提升提出较高要求;在套刻精度方面,ArFi系列目前主流光刻机NXT1980DiOveray可达到2.5nm,新款NXT2100iOveray可达到1.3nm,下一代产品NXT2150i套刻精度将继续提升。

  涂胶显影机作为半导体产线上唯一与光刻机联机作业的重要设备,技术发展方向主要依据主流光刻机的发展路线。目前,公司第三代架构浸没式高产能涂胶显影机在复杂光刻工艺下已实现和全球主流光刻机联机量产工作。随着光刻机产能的不断提升,公司已布局新一代更高产能的涂胶显影机架构,新一代机台将应用更高工艺精度的超薄成膜、超细线宽均一性、精细缺陷控制等技术。未来,公司将继续锚定全球主流光刻技术发展进程,持续提升涂胶显影设备各项核心指标,加速高端涂胶显影设备的国产化替代进程。

  (2)2.5D、3D先进封装技术高速发展

  在半导体飞速发展的五十年里,“摩尔定律”作为信息技术进步的重要驱动力,一直推动着芯片器件不断向小型化的方向发展。然而,随着晶体管特征尺寸日益接近物理极限,量子效应和短沟道效应导致芯片制造存在工艺误差和加工缺陷,芯片制造成本成倍增加,进而限制了晶体管的进一步缩小,单纯依靠尺寸微缩的方法已经难以满足未来集成电路产业的发展需求。在摩尔定律逐渐放缓的情况下,Chipet技术已成为持续提高集成度和芯片算力的重要途径之一。

  在Chipet技术中,需要将晶圆进行减薄处理,但超薄晶圆具有高柔性、高脆性、易翘曲、易起伏等特点,在减薄过程中极易产生碎裂、变形等缺陷,良品率极低。为了降低减薄工艺中的碎片率,提高芯片制造的良率、加工精度和封装精度,通常采用临时键合及解键合技术,在背面减薄前,采用临时键合的方式将晶圆转移到载片上为其提供强度支撑,完成背面减薄及其他背面工艺后进行解键合。

  公司提前布局自主研发的全自动临时键合及解键合机,可应用于InFO、CoWoS、HBM等2.5D、3D技术路线产品,兼容国内外主流胶材工艺,能够适配60微米及以上超大膜厚涂胶需求,可实现高对准精度、高真空度环境、高温高压力键合工艺,键合后产品TTV及翘曲度表现优异,对应开发的机械、激光解键合技术,可覆盖不同客户产品及工艺需求,为客户提供稳定高效的临时键合、解键合全流程解决方案。

  (四)核心技术与研发进展

  1.核心技术及其先进性以及报告期内的变化情况

  1、前道涂胶显影设备技术

  作为国内唯一可以提供量产型前道涂胶显影设备的公司,近年来公司持续加大研发投入,对前道涂胶显影设备多项关键技术进行重点突破和完善升级,目前公司在光刻工艺胶膜均匀涂敷技术、精细化显影技术、高产能设备架构及机械手优化调度技术、内部微环境精确控制技术等多项关键技术上已达到国际先进水平。

  报告期内,公司密切跟踪下游客户日益丰富的工艺需求,在超洁净微环境控制、高产能HEFEM、高精热盘快速降温等技术上持续取得进步和突破,可为客户提供更为丰富和先进的产品技术解决方案。

  ①超洁净微环境控制技术

  公司通过抑制结晶、消除摩擦、优化密封、应用超高洁净度零部件多个方式进行系统设计,使用流场精密控制、高密封性、低产尘性及数据系统监测等方法,持续提升机台内部的干湿颗粒与温湿度控制等级,成功实现了保证产品稳定量产、工艺持续稳定的技术目标,解决了长期困扰Track设备良率的颗粒问题,微环境控制精度可满足客户更高工艺等级要求。

  ②高产能HEFEM技术

  高产能HEFEM是新一代高产能小型化设备架构首要模块,公司新一代高产能HEFEM在原有堆叠式双工架构基础上,开发出了新型紧凑型Loadport结构,实现了堆叠式Loadport设计方案,技术上采用两手与四手机械手相结合的方式,具备速度更快、产能更高、晶圆传送更流畅的特点。同时开发了与之配套的调度系统,致力于突破超高产能的技术难题。该技术已成功应用在公司高端offine机台上,实现了超高产能标准的快速传送,达到世界一流水平。

  ③高精热盘快速降温技术

  快速降温技术主要应用于前道Track中热盘单元烘烤温度切换过程,目的为缩短温度切换所需时间,从而提高机台产能。公司通过传热仿真计算及研发实验测试,研发的一体式快速降温加热结构,可以实现极短时间内工艺温度的快速切换,在狭小空间内配合单元降温控制逻辑,实现加热腔体分区间高效一致性的降温效果,在保证高水平控温的同时降低了工艺时间,有效提升了整机生产效率。

  2、前道清洗设备技术

  (1)前道化学清洗设备技术

  公司战略性新产品前道化学清洗机KS-CM300/200于2024年3月正式公开发布,机台具有高工艺覆盖性、高稳定性、高洁净度、高产能等核心优势,适用于沉积前清洗、蚀刻后清洗、离子注入后清洗、CMP后清洗等多种前段工艺(FEOL)和后段工艺(BEOL)清洗进程,可适配高温SPM工艺,整体工艺覆盖率达80%以上。机台搭载独立开发的新一代高清洗效率低损伤射流喷嘴,洁净度已达到先进制程所需水平,在低损伤射流清洗技术、内部微环境精确控制技术、高产能设备架构及机械手优化调度技术等核心技术上已达到国际先进水平。截至报告期末,机台已获得国内重要客户验证性订单。目前,机台已在司内完成多家客户的waferdemo测试,工艺水平表现优秀。

  ①低损伤射流清洗技术

  低损伤射流清洗技术搭载新一代自研二流体喷嘴,液体雾化更集中、更均匀,清洗性能更优异,同时极大降低了对wafer表面图形损伤的风险。

  ②内部微环境精确控制技术

  公司内部微环境精确控制技术对设备内部环境风速、风压、风流的精确控制,设备内部微环境可覆盖28nm及以上技术节点颗粒指标要求。

  ③高产能设备架构及机械手优化调度技术

  公司化学清洗16腔标配设备机械产能达到600WPH,同时拥有领先的清洗工艺控制技术,工艺产能可对标国际知名品牌设备,达到国际先进水平。

  此外,公司前道化学清洗设备在工艺单元化学液动态混合技术、工艺腔体自动清洗技术、工艺单元化学液防反溅技术、晶圆表面干燥技术等多项技术上均已达到国际先进水平。

  (2)前道物理清洗设备技术

  公司前道物理清洗技术较为成熟,多项核心技术已达到国际先进水平,已成为国内逻辑、功率客户主力机型。报告期内,公司在高产能物理清洗技术、低损伤雾化清洗技术等方面取得了良好进展,持续巩固公司业内领先的技术优势。

  ①高产能物理清洗技术

  为满足存储客户对机台更高产能的需求,公司推出新一代高产能物理清洗机,通过多手指机械手应用、晶圆传输调度优化等方式,设备工艺产能得到显著提升。截至报告期末,机台验证进展顺利。

  ②低损伤雾化清洗技术

  公司新开发的第二代低损伤雾化清洗喷嘴、刷压控制系统等技术,可满足客户更高工艺制程、更小图形损伤的工艺需求,目前已成功实现客户端应用。

  3、后道先进封装设备技术

  (1)涂胶显影设备及单片式湿法设备技术

  公司该领域技术较为成熟,部分核心技术已达到国际领先水平,已成为国内先进封装客户首选品牌。报告期内,公司在Frame清洗技术、Partice与阳压管控技术、大工艺窗口烘烤等技术上取得有序进展,进一步巩固公司业内领先的技术优势。此外,公司战略性布局2.5D/3D封装领域,成功推出了临时键合、解键合以及Frame清洗设备。

  ①Frame清洗技术

  Frame清洗主要应用于2.5D、3D封装工艺领域中固定在Frame上的晶圆清洗工艺,通过多种化学品、高压、二流体、毛刷的清洗配置可以有效的去除晶圆表面的残胶、金属残渣以及颗粒等。公司创新性的使用新型混合清洗技术以及超薄晶圆的真空吸附保护等技术,多项工艺水平已优于市面同类型设备。

  ②Partice与阳压管控技术

  通过原材料机加工清洗技术、Chamber密封性防控、活动门板密封性防控、减少压损控制流向等技术,在设备内部Partice和阳压控制上达到了更高标准的工艺等级。

  ③大工艺窗口烘烤技术

  后道先进封装光刻胶粘度范围跨度较大,公司从温度、流场内外控制等多维度提升精度等级,将各粘度级光阻对前述维度的参数需求覆盖在此工艺腔体水平之下,可实现同一结构下的最大窗口烘烤工艺。

  (2)临时键合、解键合设备技术

  临时键合、解键合设备主要应用于2.5D、3D先进封装工艺晶圆减薄的保护环节。公司于2021年开始获得国内重要存储客户支持,提前布局临时键合、解键合设备,并进行系统性研发。

  目前公司已成功掌握了键合胶均匀涂覆、键合片组Notch视觉识别及校准补偿、键合压力精准控制与检测、键合片组TTV检测、键合胶和释放层高效清洗、分离过程精确控制与检测等多项核心技术,临时键合设备、解键合设备整体已达到国际先进水平。

  4、化合物等小尺寸设备技术

  公司该领域技术较为成熟,多项核心技术已达到国际先进水平。报告期内,公司在化合物inine涂胶显影设备技术上取得良好进展,机台满足6/8英寸I-ine、KrF前道生产线光刻工艺,同时适用于Barc、Pi涂覆工艺,可适用多种基底涂显工艺如Si、SiC、GaN、GaAs、LiTaO3、LiNiO3等材料,双SpinBock可选配4、8、12Spin等多种组合配置,可满足客户多元化应用需求。

  2023年2月,国家发展改革委等5部门联合印发了《关于印发第29批新认定及全部国家企业技术中心名单的通知》(发改高技〔2023〕139号),沈阳芯源微电子设备股份有限公司技术中心被认定为“国家企业技术中心”。国家企业技术中心的认定,是对公司技术创新能力和自主研发能力的充分肯定,也是公司科研能力和综合能力的体现。公司将继续推进关键核心技术研发,不断提高自主创新能力和科技创新水平,增强公司核心竞争力,促进公司持续健康、高质量发展。

  2023年4月,全国总工会在北京召开庆祝“五一”国际劳动节暨全国五一劳动奖和全国工人先锋号表彰大会,沈阳芯源微电子设备股份有限公司荣获“全国五一劳动奖状”,表彰了公司在中国特色社会主义建设中做出的突出贡献。

  2024年3月,国家工业和信息化部公布了第八批制造业单项冠军企业名单,沈阳芯源微电子设备股份有限公司凭借涂胶显影机产品获评“国家级制造业单项冠军企业”。

  报告期内,公司前道单片式清洗机研发与产业化项目荣获辽宁省人民政府“辽宁省科技进步一等奖”及中国集成电路创新联盟—第六届“IC创新奖”;公司涂胶显影产品被辽宁省工业和信息化厅认定为“辽宁省制造业单项冠军产品”。此外,报告期内公司还荣获“沈阳市高新技术企业高成长性企业奖”、“上交所信息披露A级评价”等其他多项殊荣。

  2.报告期内获得的研发成果

  截至2023年12月31日,公司共获得专利授权286项,其中发明专利181项(其中中国大陆地区发明专利161项,中国台湾地区发明专利18项,美国发明专利2项),实用新型专利69项,外观设计专利36项;拥有软件著作权78项。

  3.研发投入情况表

  研发投入总额较上年发生重大变化的原因

  研发费用同比增长30.06%,主要原因是公司持续加大研发投入,职工薪酬、研发材料等增加。

  4.在研项目情况

  5.研发人员情况

  研发人员构成发生重大变化的原因及对公司未来发展的影响

  2023年底公司研发人员数量357人,较2022年底增加62人,研发团队实力进一步加强,为公司持续加强研发投入提供了人才保障。

  6.其他说明

  

  三、报告期内核心竞争力分析

  (一)核心竞争力分析

  1、持续丰富的产品线布局

  公司是国内涂胶显影、单片式湿法设备龙头企业,近年来,公司在不断巩固主业市场优势的同时,陆续推出了多款重要设备。2023年,公司临时键合、解键合设备获得了多家大客户订单,2023年9月,公司广州子公司正式成立,致力于光刻胶泵等核心零部件的研发和产业化,2024年3月,公司正式发布了前道化学清洗机、全自动SiC划裂片一体机两款全新设备,公司产品线持续丰富。

  目前公司已形成了前道涂胶显影设备、前道清洗设备、后道先进封装设备、化合物等小尺寸设备四大业务板块,产品已完整覆盖前道晶圆加工、后道先进封装、化合物半导体等多个领域。

  2、优秀的研发技术团队与核心管理团队

  公司建有较为完善的人才培养体系,通过承担国家重大专项及地方重大科研任务、开展专题技术培训等方式培养了半导体设备的设计制造、工艺制程、软件开发与应用等多种学科人才。公司重视技术人才队伍的建设,积极引进了一批具有丰富的半导体设备行业经验的高端人才,形成了稳定的核心技术人才团队,能紧密跟踪国际先进技术发展趋势,具备较强的持续创新能力。

  公司核心管理团队人员稳定,具有丰富的管理经验,对行业的发展趋势和竞争格局有深入的了解,且均在公司服务多年,为公司后续的稳健经营、良性发展打下了基础,是公司快速稳定发展的重要因素。中层骨干干部年龄结构合理,梯队建设良好,在市场、生产、研发等各重要岗位都具有兼具经验和事业热情的专业领军人物。

  在员工培养方面,公司于2020年至2023年先后推出了三期限制性股票激励计划,共激励对象299人次,被激励员工均为公司管理层及核心业务骨干,股票激励计划明确了公司业绩考核目标,充分调动核心员工的积极性和主动性,力争在公司内部培养一批优秀的管理和技术人才。

  3、丰富的技术储备

  公司高度重视新技术、新产品和新工艺的研发工作,报告期内,公司研发支出19,786.00万元,占营业收入的11.52%。通过多年的技术积累以及承担国家02重大专项,公司已经成功掌握包括涂胶显影设备、单片式湿法设备等产品多项核心技术,并形成了完善的自主知识产权。

  截至2023年12月31日,公司共获得专利授权286项,其中发明专利181项(其中中国大陆地区发明专利161项,中国台湾地区发明专利18项,美国发明专利2项),实用新型专利69项,外观设计专利36项;拥有软件著作权78项。

  4、优质的客户资源

  公司以沈阳为销售总部,并在苏州、昆山、武汉、上海、中国台湾等地设有办事处,销售网络覆盖长三角、珠三角及中国台湾地区等产业重点区域,建立了快速响应的销售和技术服务团队。

  2021年,公司全资子公司“上海芯源微企业发展有限公司”在上海自由贸易试验区临港新片区注册成立。上海已成为全球集成电路产业投资最具吸引力的地区之一,公司上海子公司的建设,将实现公司三“靠近”的初衷——“靠近客户”、“靠近人才”、“靠近供应链”,是公司加快发展的重要里程碑。2023年9月,公司控股子公司广州芯知在广州市成立,完善了公司在粤港澳大湾区区域的战略布局。

  5、较为突出的行业地位

  公司是国家集成电路产业技术创新联盟及集成电路封测产业链技术创新战略联盟理事单位,先后主持制定了喷胶机《喷雾式涂覆设备通用规范》(SJ/T11576-2016)、涂胶机《旋转式涂覆设备通用规范》(SJ/T11183-2022)两项行业标准,均已正式颁布实施。

  2023年2月,公司技术中心被认定为“国家企业技术中心”。国家企业技术中心的认定,是对公司技术创新能力和自主研发能力的充分肯定,也是公司科研能力和综合能力的体现。

  成立至今,公司先后获得“全国第一批专精特新‘小巨人’”、“国家级知识产权优势企业”、“国家高技术产业化示范工程”、“国家级企业技术中心”、“国内先进封装领域最佳设备供应商”、“辽宁五一劳动奖状”、“第六届全国专业技术人才先进集体”、“全国五一劳动奖状”等多项殊荣,公司产品先后获得“国家战略性创新产品”、“国家重点新产品”、“辽宁省科学技术进步一等奖”、“辽宁省制造业单项冠军产品”等多项荣誉,充分体现了公司的技术水平和管理能力,奠定了公司在细分行业内的突出地位。

  6、高效的质量管控与服务保障能力

  公司自成立以来一直专注于半导体专用设备的研发、生产和销售,以高效的质量管控、全面优质的客户服务以及快速灵活的售后响应赢得市场。公司坚持“质量为上”的经营理念,建立了完善的质量控制制度,实行严格的质量控制手段,以保证产品质量的稳定性和一致性。目前公司应用于集成电路制造后道先进封装领域的喷胶机、涂胶/显影机和清洗机等产品已通过SEMIS2国际安规认证,为公司进入国际半导体设备供应商体系奠定了良好的基础。同时公司坚持以用户需求为中心,高度重视客户服务能力建设,已形成对客户需求的快速反应机制,以保证及时、迅速、有效地解决客户在产品后续使用过程中遇到的相关问题。此外,公司研发人员会定期进行客户拜访以收集产品需求,并根据客户及市场需求进行产品的升级或更新换代,以保持产品的持续竞争力。

  7、完善的供应链

  半导体设备属于高精密的自动化装备,研发和生产均需使用大量的高精度元器件,对产品机械结构的精度和材质要求也很高。经过多年的沉淀,公司与国内外供应商建立了较为稳定的合作关系,培育与建设成了较为完善的原材料供应链,有利于保证公司产品原料来源的稳定性及可靠性。公司提前布局上游零部件国产替代,对国内供方进行长期培养和扶持,报告期内成功实现了多种泵类零部件的国产替代。报告期内,公司通过批采谈判、年度框架合同等商务方式有效降低了整体采购成本。

  2022年8月,公司全资子公司KingsemiKyoto株式会社在日本京都正式设立。京都子公司的设立,有助于公司深入对接日本在泛半导体领域的高端产业及研发资源,增强公司在设备及关键零部件领域的技术实力。同时,还可借助境外丰富的供应链资源,寻找更为可靠、更具性价比的原材料采买渠道,降低产品生产成本,增强产品整体竞争力。

  (二)报告期内发生的导致公司核心竞争力受到严重影响的事件、影响分析及应对措施

  

  四、风险因素

  (一)尚未盈利的风险

  (二)业绩大幅下滑或亏损的风险

  (三)核心竞争力风险

  (四)经营风险

  1、下游客户扩产不及预期或产能过剩的风险

  半导体设备行业受下游半导体市场及终端消费市场需求波动的影响较大,如果终端消费市场需求尤其是增量需求下滑或由于快速扩张导致的产能过剩,半导体制造厂商可能会削减资本性支出规模,将会对包括公司在内的半导体设备行业企业的经营业绩造成较大不利影响。

  2、研发投入可能大幅增长的风险

  随着公司对新产品、新技术研发的持续投入以及可能承担重大科研项目,未来公司研发投入可能会出现阶段性的大幅增长,不排除对公司的经营业绩造成一定冲击。

  3、供应商供货不稳定风险

  半导体设备属于高精密的自动化装备,研发和生产均需使用高精度元器件,对产品机械结构的精度和材质要求较高,而我国与此相关的产业配套环境依然不够成熟,部分关键零部件仍然有赖于进口。公司虽与上游供应商建立了长期稳定的合作关系,但不排除因少数国家持续滥用出口管制措施导致相关物料供应受阻,或未来下游半导体制造业对半导体设备需求出现爆发式增长从而对上游供应商的重要物料短期内造成挤兑,最终对公司产品生产造成一定的压力。

  4、产品商业化推广不及预期的风险

  作为半导体产线上唯一与光刻机联机作业的核心工艺设备,公司核心产品前道涂胶显影机机械结构及软件调度复杂、工艺验证难度高、成熟化周期长。近年来,虽然公司在该领域产品研发及客户导入取得了一定成绩,成功在下游客户端占据一席之地,但该细分赛道仍然被日本厂商高度垄断,技术壁垒极高。与此同时,国际主流光刻机在产能效率及精度指标等方面也在持续提升,对与之配套的涂胶显影机的研发迭代提出了更高的要求,不排除公司在该领域产品后续商业化推广不及预期的风险。此外,公司目前已陆续推出了包括前道化学清洗机、临时键合机、解键合机、全自动SiC划裂片一体机等在内的多款新产品,截至报告期末,上述新产品仍处于商业推广初期,尚未形成大规模销售,如果未来商业化推广不及预期,不排除会对公司业绩产生较大不利影响。

  在上述各项影响因素综合作用下,不排除未来公司经营业绩出现大幅波动的风险。

  (五)财务风险

  1、应收账款回收风险

  公司应收账款占流动资产的比重较大,虽然公司主要客户的历史信用状况良好,应收账款发生坏账的可能性较小,但一旦客户的财务状况恶化或信用状况发生重大变化,公司未来的生产经营及偿债能力仍可能受到不利影响。

  公司已严格依据企业会计准则要求评估应收账款信用风险并计提减值损失准备,未来将进一步加强对客户资信情况的调查和分析,严格按合同组织生产和销售,强化应收账款监督考核,健全销售回款责任制、呆坏账损失核销制,以确保公司整体信用风险处于可控范围内。

  2、存货跌价风险

  公司的存货风险主要为未来下游行业需求发生重大变化或者其他难以预料的情况出现,导致存货无法顺利消化并出售,可能对公司的经营业绩及经营现金流产生不利影响。公司已制定了完善的存货管理制度并有效执行,未来将密切关注下游需求变化,降低产品库存风险。

  (六)行业风险

  1、市场竞争加剧的风险

  作为与光刻机配合进行作业的关键处理设备,公司生产的涂胶显影设备成功打破国外厂商垄断并填补国内空白,其中在集成电路制造后道先进封装和LED芯片制造等环节,作为国内厂商主流机型已成功实现国产替代,伴随着半导体产业市场竞争愈发激烈,如果未来有更多的半导体设备制造企业生产同类型设备,或采取恶意竞争的策略,则可能会导致公司未来客户流失、市场地位和经营业绩下滑,从而对公司持续经营能力产生不利影响。

  2、国际贸易摩擦加剧的风险

  随着国际贸易不确定性的增加,不排除相关国家贸易政策变动影响公司上游供应商的供货稳定性。

  (七)宏观环境风险

  半导体设备行业受下游半导体市场及终端客户市场需求波动的影响较大,其发展呈现一定的周期性,如果未来宏观经济发展乏力,终端客户市场需求恢复不及预期,半导体制造厂商将会减少半导体设备的采购,行业将面临一定的波动风险。

  (八)存托凭证相关风险

  (九)其他重大风险

  1、税收优惠风险

  报告期内,公司享受的税收优惠政策包括软件产品增值税即征即退、研发费用加计扣除、高新技术企业所得税优惠等。如果国家有关税收优惠的法律、法规、政策等发生重大调整,或者由于公司未来不能持续取得国家高新技术企业资格等原因而无法享受相关税收优惠,将对公司的经营业绩造成不利影响。

  2、政府补助政策风险

  报告期内,公司非经常性损益中计入当期损益的政府补助的金额为6,390.23万元,占当期利润总额的比例为22.63%。如果未来政府部门对公司所处产业的政策支持力度有所减弱,公司取得的政府补助金额将会有所减少,进而对公司的经营业绩产生不利影响。

  

  五、报告期内主要经营情况

  公司主要从事半导体专用设备的研发、生产和销售。报告期内,公司实现营业收入171,696.99万元,较上年同期增长23.98%;归属于上市公司股东的净利润25,062.62万元,较上年同期增长25.21%;归属于上市公司股东的扣除非经常性损益的净利润18,716.52万元,较上年同期增长36.37%。

  

  六、公司关于公司未来发展的讨论与分析

  (一)行业格局和趋势

  请“第三节管理层讨论与分析”之“三、报告期内新技术、新产业、新业态、新模式的发展情况和未来发展趋势”。

  (二)公司发展战略

  公司始终秉承“为客户创造价值”的企业使命,坚持“客户第一、奋斗为本、诚信合作、专业精品”的企业精神,专注于高端半导体专用设备领域,通过持续的技术研发和供应链建设,提升公司的核心竞争力,增强团队的执行力和凝聚力,不断开拓新产品、新领域,有效提升公司收入和利润规模,为股东创造价值。公司将积极加强技术人才团队、知识产权和商业秘密体系建设,通过有效的内控和核心竞争力的提升,稳健发展并防范各种风险。

  (三)经营计划

  1、把握行业发展机遇,立足涂胶显影主赛道做优做强

  根据SEMI的最新预测,未来几年下游市场对电子产品的成长需求以及人工智能创新带来的应用浪潮将继续引领晶圆厂进行产能扩张,全球前道300mm晶圆厂设备支出预估将持续增长,2025年预计将增长20%至1165亿美元,2027年将达到创纪录的1370亿美元。作为半导体产线上唯一与光刻机联机作业的核心工艺设备,国内前道涂胶显影机市场目前仍被国外厂商高度垄断,是少数几种国产化率仍维持在较低水平的“短板环节”。经过多年努力,公司目前已成功推出包括Offine、I-ine、KrF、ArF浸没式等在内的多种型号产品,成功在下游客户端抢占一席之地。

  2024年,公司将继续把握半导体行业发展机遇,紧紧围绕下游客户需求,立足涂胶显影主赛道,持续开展技术研发及产品迭代,加快推进产品成熟化、标准化,持续提升机台稳定性及产能效率,为客户提供更具性价比和竞争力的半导体装备产品及工艺整体解决方案。公司还将继续紧盯全球光刻工艺发展新趋势,结合公司整体发展战略,继续研发新一代可适应更高光刻机产能的涂胶显影架构,应用更高工艺精度的超薄成膜、超细线宽均一性、精细缺陷控制等核心关键技术,持续提升机台各项核心工艺指标,加速高端涂胶显影设备的国产化替代进程。

  2、围绕下游客户需求,积极培育化学清洗等多个新业务增长点

  集成电路前道晶圆加工领域,公司于2024年3月正式发布战略性新产品前道单片式化学清洗机,该产品具有高工艺覆盖性、高稳定性、高洁净度、高产能等多项核心优势,能够适配高温SPM工艺,整体工艺覆盖率达到80%以上,目前已获得国内重要客户的验证性订单。该机台的推出,标志着公司从前道物理清洗领域成功跨入到技术含量更高、市场空间更大的前道化学清洗领域,将公司前道产品(涂胶显影+清洗)的国内市场空间由原来的百亿人民币大幅提升至两百亿人民币,进一步完善了公司在前道领域的战略布局。2024年,公司将进一步加大对化学清洗产品的客户端推广及验证力度,做好潜在客户签单及生产交付工作,同时还将继续加大研发投入,不断开发并覆盖其他工艺空白领域,持续优化和提升产品工艺能力,为客户提供更先进、更具性价比的化学清洗产品。

  集成电路后道先进封装领域,公司生产的涂胶显影设备、单片式湿法设备已连续多年作为主力量产机台批量应用于台积电、盛合晶微、长电科技、华天科技、通富微电等海内外一线大厂,部分技术已达到国际领先水平,具有较强的全球竞争力。为积极响应国家支持Chipet产业大发展的号召,公司基于在先进封装领域多年的技术积累和客户储备,正积极围绕头部客户需求开展2.5D/3D先进封装相关产品的国产化替代,目前已成功推出包括临时键合、解键合、Frame清洗等在内的多款新产品。2024年,公司将继续推动上述新产品在客户端尤其是头部厂商的导入和验证力度,深度绑定核心关键客户,力争获得客户的批量重复性订单。同时,公司也将继续围绕头部客户其他个性化需求,持续开发其他Chipet新品类,不断丰富公司在先进封装领域的产品布局。

  化合物半导体领域,公司目前已正式推出全自动SiC划裂片一体机,该款机台由公司日本子公司与合作伙伴联合研发,借助独有的SnB划裂片技术,可以有效解决传统砂轮式切割方法所面临的崩边大、切割损失多、生产效率低、切割水处理等问题。该产品的推出将进一步丰富公司在小尺寸领域的产品布局,助力公司从现有的涂胶显影、单片湿法等领域拓展至划片领域,为客户提供更丰富优质的产品选择,将进一步提升公司在小尺寸领域的综合竞争优势。

  3、加强自主创新力度,坚持高水平研发投入及成果转化

  半导体设备属于高度技术密集型行业,具有极高的技术壁垒和客户准入门槛。多年以来,公司始终站在实现科技高水平自立自强的战略高度,将提高自主创新能力作为公司发展的第一要务,持续加大研发投入力度,研发费用连续多年保持在营业收入的10%以上,近五年研发费用年均复合增速超过50%。2023年,公司新增专利及软件著作权申请共计131项,新获专利及软件著作权授权59项,截至报告期末,公司共获得专利授权286项,其中发明专利181项,拥有软件著作权78项。此外,公司在内部也积极推行支持研发、尊重人才、提倡创新的浓厚氛围,鼓励研发团队持续跟踪市场发展动态及技术演进方向,不断提升现有产品竞争力水平,同时加速开展新产品开发及产业化。

  2024年,公司将继续坚持以市场和客户需求为导向,积极响应国家战略部署及核心技术攻关需求,持续加大对产品及核心技术的研发投入力度,继续加大对研发人才以及研发端软硬件的投入力度,进一步强化基础研发能力建设,结合市场需求开展对新工艺、新技术的前瞻性布局,推动产品技术高效升级,实现研发及产业化良性循环。

  4、完善公司供应链建设,形成整机+零部件双轮驱动发展新格局

  半导体设备行业的供应链管理对于产品的质量保证及交付能力有着至关重要的影响。2024年,公司在供应链管理方面将继续推动数字化、信息化、标准化建设,助力供应链高效、科学、规范管理。经过多年经营发展,公司目前已构建了面向全球的稳定可靠的原材料供应渠道,与数百家核心供应商建立了较为稳定的战略合作伙伴关系,对关键零部件采取多厂商策略及滚动预投,以确保关键零部件供应的及时性。此外,公司还将继续开展关键核心物料国产化替代工作,在保证品质的前提下,稳妥开展进口核心物料国产化替代(包括但不限于机械手、泵类、热盘、氟树脂部件等)。

  公司将通过联合开发、自主研发、寻找替代供应商等方式降低核心部件成本,巩固核心部件可控性,持续提升产品综合竞争力。2022年8月,公司日本子公司成功设立,进一步丰富了公司供应链资源。报告期内,公司广州子公司正式成立,广州子公司将依托母公司在涂胶显影等领域深厚的技术积淀及经验积累,以广东省科技重大专项为抓手,致力于开展光刻胶泵等核心关键零部件研发及产业化,形成整机+零部件双轮驱动发展新格局。

  5、优化运营管理,不断提升经营质量及效率

  2024年,公司将持续推动信息化、数字化建设,落实精益化、全过程管理,以提高整体运营效率为目标,开展降本增效全员行动计划。公司将运营管理中的各项关键指标细化分解到各业务部门,包括新签订单、生产出货、合同验收、销售回款、费用管控等关键指标,同时在生产经营全过程设立一系列动态考核指标,覆盖质量、效率、库存、安全生产等多方面。公司将指派专人持续动态跟踪各项指标的执行情况,定期反馈执行结果并提出改进要求。

  公司始终坚持“客户第一、奋斗为本、诚信合作、专业精品”的企业精神,全面强化质量管理工作。2024年,公司继续将产品质量管控及机台交验及时性作为公司级运营目标,在质量管理方面推行信息化、标准化,将品质管理覆盖到产品生命周期的各个环节,从物料品质,到过程品质再到客户端品质,做到全流程可追溯、标准化管理。同时,公司针对关键岗位、关键工序制定了严格的绩效考核指标,确保产品生产及交付质量。2024年,公司将持续推行各项质量管理改进措施,落实过程品质管理,夯实全面质量管理效能。

  6、建设高水平人才团队,完善的人才培养机制

  公司已建立了稳定的核心技术人才团队,形成了完善的人才培养机制。下一阶段,公司将加快建设高端技术人才团队,培养、储备一批设计制造、工艺制程、软件开发与应用等多学科的专业人才,形成具有丰富的半导体设备行业经验的高端人才的技术团队。公司为核心人员提供了具有竞争力的待遇,充分激发核心团队的创新积极性,吸纳并留住核心人才。在人才激励方面,公司在2020、2021年两期限制性股票激励计划的基础上,于2023年8月发布了新一期的限制性股票激励计划,以50元/股的授予价格向160名激励对象授予126万股限制性股票,授予对象以核心技术骨干为主,同时明确了公司和员工业绩考核指标,有助于调动核心员工积极性,有利于公司长期稳定可持续发展。

  7、建立完善的知识产权和商业秘密保护体系

  半导体设备的制造需要综合运用光学、物理、化学等多学科技术,具有技术壁垒高、制造难度大等特点,因此知识产权和商业秘密是半导体设备企业立足和发展的根本。公司重视知识产权和商业秘密的保护,将知识产权和商业秘密作为重要资产,把知识产权和商业秘密保护体系建设作为公司科技进步和发展壮大的一项重要任务。目前公司已建立了完善的知识产权和商业秘密保护和内部管理制度。

微信
扫描二维码
关注
证券之星微信
APP下载
好投资评级:
好价格评级:
证券之星估值分析提示通富微电盈利能力一般,未来营收成长性较差。综合基本面各维度看,股价偏高。 更多>>
下载证券之星
郑重声明:以上内容与证券之星立场无关。证券之星发布此内容的目的在于传播更多信息,证券之星对其观点、判断保持中立,不保证该内容(包括但不限于文字、数据及图表)全部或者部分内容的准确性、真实性、完整性、有效性、及时性、原创性等。相关内容不对各位读者构成任何投资建议,据此操作,风险自担。股市有风险,投资需谨慎。如对该内容存在异议,或发现违法及不良信息,请发送邮件至jubao@stockstar.com,我们将安排核实处理。
网站导航 | 公司简介 | 法律声明 | 诚聘英才 | 征稿启事 | 联系我们 | 广告服务 | 举报专区
欢迎访问证券之星!请点此与我们联系 版权所有: Copyright © 1996-